Bug 790 - dev-env-setup script for nextpnr-xilinx
Summary: dev-env-setup script for nextpnr-xilinx
Status: RESOLVED FIXED
Alias: None
Product: Libre-SOC's first SoC
Classification: Unclassified
Component: Documentation (show other bugs)
Version: unspecified
Hardware: PC Linux
: --- normal
Assignee: Veera
URL:
Depends on:
Blocks:
 
Reported: 2022-03-27 13:29 BST by Veera
Modified: 2022-09-28 11:08 BST (History)
2 users (show)

See Also:
NLnet milestone: NLnet.2019.02.012
total budget (EUR) for completion of task and all subtasks: 600
budget (EUR) for this task, excluding subtasks' budget: 600
parent task for budget allocation: 22
child tasks for budget allocation:
The table of payments (in EUR) for this task; TOML format:
vklr = { amount = 450, submitted = 2022-04-16, paid = 2022-04-28 } [lkcl] amount = 150 submitted = 2022-06-16 paid = 2022-07-21


Attachments

Note You need to log in before you can comment on or make changes to this bug.
Description Veera 2022-03-27 13:29:49 BST
Automated build and needed dependency installation script for nextpnr-xilinx from source code to be made.

to be added to dev-env-setup:
https://git.libre-soc.org/?p=dev-env-setup.git;a=summary

uri: https://github.com/gatecat/nextpnr-xilinx
Comment 1 Luke Kenneth Casson Leighton 2022-03-27 13:35:35 BST
one minor complication for this one: i had to use prjxray-db from symbiflow
in order to get the arty a7-100t working.
Comment 2 Veera 2022-04-01 14:36:20 BST
Updated page with nextpnr-xilinx section: https://libre-soc.org/HDL_workflow/ 

Added page for nextpnr-xilinx: https://libre-soc.org/HDL_workflow/nextpnr-xilinx/

It will work mostly without much changes.

A dev-env-script for nextpnr-xilinx has to be made shortly in time.
Comment 3 Luke Kenneth Casson Leighton 2022-04-01 15:37:21 BST
brilliant, the bbasm was something i couldn't remember, i did this
all a few months back.

you should also be able to install nmigen and nmigen-boards
then do "python3 -m nmigen_boards.arty_a7" and there should
be a build/top.svf file

i will be speaking with IBM India Education Course in 1 hour,
i will ask Sameer to get you access to the UOregon Silicon
Server ok?
Comment 4 Veera 2022-04-04 12:46:16 BST
Uploaded nextpnr-xilinx-install script:
uri: https://git.libre-soc.org/?p=dev-env-setup.git;a=commitdiff;h=6de9645711cfcc677b64c51aa6f0730ccd281d24

I have run this in Raptor Power server and it builds and installs successfully.
Checked the installed binaries with example attosoc, it produces the fpga bitstream.

Kindly review and give feedback.
Comment 5 Veera 2022-04-04 13:07:11 BST
Updated and corrected page: https://libre-soc.org/HDL_workflow/nextpnr-xilinx/

based on above nextpnr-xilinx-install script.
Comment 6 Veera 2022-04-06 23:30:59 BST
New corrected and updated nextpnr-xilinx-install script.

Remove yosys dependencies.
Add proper prjxray and nextpnr-xilinx dependencies.
Instead of pip3, use python3 setup.py develop.

uri: https://git.libre-soc.org/?p=dev-env-setup.git;a=commitdiff;h=30c1fde15b008631c13f98997a6095d4b9e487cb
Comment 7 Veera 2022-04-07 00:00:24 BST
(In reply to vklr@vkten.in from comment #6)
> New corrected and updated nextpnr-xilinx-install script.
> 
> uri:
> https://git.libre-soc.org/?p=dev-env-setup.git;a=commitdiff;
> h=30c1fde15b008631c13f98997a6095d4b9e487cb

Builds, installs and runs fine.
Comment 8 Veera 2022-04-07 00:01:38 BST
Updated and corrected page: https://libre-soc.org/HDL_workflow/nextpnr-xilinx/
based on above nextpnr-xilinx-install script.

- Changed /usr/local/nx to /usr/local/nextpnr-xilinx
- Other changes